Overview

Date: Thursday, December 9, 2021
Time: 1:00 p.m.-5:00 p.m. EST
Area-selective deposition (ASD) is a technology that is currently gathering momentum as enabler for bottom-up fabrication. Its main promise is the ability to process material in a self-aligned fashion, thereby eliminating alignment errors in semiconductor processing. In addition, ASD has been considered for alternative nanofabrication schemes in catalysis. By using mature thin film techniques such as chemical vapor deposition (CVD) and atomic layer deposition (ALD) as a starting point, the development of strategies and approaches to ASD primarily focuses on making the growth selective to specific materials or surfaces. This often involves the selective adsorption of reactant species, or as a more general approach, the deactivation of surfaces on which no growth is desired by selective functionalization. While achieving selective deposition is already difficult, maintaining the selectivity is yet another challenge, as there are numerous side-reactions that could lead to loss of selectivity. In this webinar, several aspects of ASD technology will be addressed, ranging from fundamental surface reactions to technological challenges.

Webinar Objectives/Topics

  • Provide understanding of nucleation and initial growth phenomena
  • Motivate the reasons to implement ASD technology and describe the envisioned applications
  • Present an overview of the strategies that currently exist for achieving ASD
  • Give insight into the mechanisms that could contribute to the loss of selectivity
  • Discuss strategies to enhance the selectivity involving combinations with other atomic-scale processing techniques
  • Discuss alternative flavors of selective deposition such as topographically- and microstructure/phase-selective deposition

Event Sponsor

Presenter

Adrie Mackus, Assistant Professor, Department of Applied Physics
Eindhoven University of Technology, The Netherlands

Biography

Adrie Mackus (1985) is an Assistant Professor in Applied Physics at Eindhoven University of Technology, TU/e. He earned his M.Sc. and Ph.D. degrees (both with highest honors) in Applied Physics from TU/e in 2009 and 2013, respectively. His Ph.D. thesis “Atomic Layer Deposition of Platinum: From Surface Reactions to Nanopatterning” focused on development of novel approaches for nanopatterning based on area-selective atomic layer deposition (ALD). Adrie worked as a Postdoc at the Department of Chemical Engineering at Stanford University from 2014-2016, for which he received a personal Rubicon grant in 2014 from the Netherlands Organization of Scientific Research (NWO). At Stanford he studied the reaction mechanisms of ALD of ternary materials using in situ techniques. Adrie returned to TU/e in 2016 for position as an Assistant Professor in Applied Physics, where he received tenure in 2019. His current research encompasses thin film deposition and etching for applications in nanoelectronics, with a focus on selective processing for bottom-up fabrication. Adrie chaired the 2nd Area Selective Deposition Workshop (ASD2017) in Eindhoven in 2017.

Who Should Attend

This webinar will be of interest for students, researchers and engineers involved or interested in area-selective deposition and/or thin film technology in general. By discussing relevant thin film basics as a starting point, but also the latest developments in the field of ASD, the webinar will be valuable for a broad audience from young students to experienced researchers.

Webinar Cost

Cost:
  • $200 (AVS Platinum Member)
  • $250 (Non-Member or AVS Gold/Silver Member)
  • $50 (Full-time Student/Requires Student I.D.)

Platform: Zoom

Times listed are Eastern Standard Time (EST)Check your timezone accordingly!

Each webinar includes a link to a pdf file of the copyrighted webinar notes. During the webinar you may ask questions. Presenter(s) will review and answer them periodically throughout the presentation. 

You must be present the day of the webinar, recorded versions will not be available.

Save with AVS Membership

Be a part of AVS to grow professionally and personally. Kickstart your visibility on your path toward a successful career through AVS Platinum Membership. Whether you are regularly attending and presenting your research at AVS events, or publishing your latest discoveries in our core journals, AVS can help you make important connections, and build your reputation as a knowledgeable, reliable, and proven leader. AVS Platinum Membership also provides discounts on various conferences, short courses and webinars. 
JOIN TODAY TO EXPAND YOUR NETWORK!

Questions about Membership: Contact Angela Klink, AVS Member Services Administrator, angela@avs.org for more details.

Other AVS Events

AVS New Mexico Chapter Online Short Course
December 7, 2021
10:00 a.m.-6:30 p.m. EST
Platform: Zoom

  • Evaporative Thin Film Deposition 

REGISTER HERE!

AVS Courses by Request
If you are interested in seeing an AVS Short Course offered in 2022 please complete the AVS Courses by Request form.

 

AVS Onsite/Online Training

Do your employees need training now? Are budgets tight? Let AVS bring our short courses and qualified instructors to your organization during these tough economic times via Zoom. Please complete the AVS Onsite/Online Training request form to receive a proposal.

AVS e-Talk: Linking Dry Corrosion and Catalysis -
Can Surface Chemistry Influence Corrosion Pathways?

December 16, 2021
1:00-2:00 p.m. EST
Instructor: Petra Reinke
REGISTER HERE!

Fundamental and Practical Insights on Stress Evolution during Thin Film Growth
January 25, 2022
1:00-5:00 p.m. EST
Instructor: Gregory Abadias
REGISTER HERE!

Atomic Layer Deposition from an Applications Perspective
February 9, 2022
1:00-5:00 p.m. EST
Instructor: Erwin Kessels
REGISTER HERE!